summaryrefslogtreecommitdiff
path: root/pcr/nextpnr-git
diff options
context:
space:
mode:
authorDenis 'GNUtoo' Carikli <GNUtoo@cyberdimension.org>2021-01-29 01:02:20 +0100
committerDenis 'GNUtoo' Carikli <GNUtoo@cyberdimension.org>2021-01-29 11:59:04 +0100
commite0d5d4f1c967d9908b1b72a5a6172cde17c31354 (patch)
tree2b8afec09ea5ad3a35f47139a3f51e8bf349ee65 /pcr/nextpnr-git
parent3bcef07524e628071ad77971fb3c3b54b70e781e (diff)
downloadabslibre-e0d5d4f1c967d9908b1b72a5a6172cde17c31354.tar.gz
abslibre-e0d5d4f1c967d9908b1b72a5a6172cde17c31354.tar.bz2
abslibre-e0d5d4f1c967d9908b1b72a5a6172cde17c31354.zip
pcr: symbiflow: sync with Aur
After syncing with Aur, I also run makepkg to make makepkg update the pkgver in the PKGBUILD. This should ensure that the PKGBUILD doesn't need to be modified at build time by libremakepkg. Signed-off-by: Denis 'GNUtoo' Carikli <GNUtoo@cyberdimension.org>
Diffstat (limited to 'pcr/nextpnr-git')
-rw-r--r--pcr/nextpnr-git/PKGBUILD16
1 files changed, 8 insertions, 8 deletions
diff --git a/pcr/nextpnr-git/PKGBUILD b/pcr/nextpnr-git/PKGBUILD
index f2dd4563c..e2adf39b8 100644
--- a/pcr/nextpnr-git/PKGBUILD
+++ b/pcr/nextpnr-git/PKGBUILD
@@ -1,18 +1,17 @@
# Maintainer(AUR): Graham Edgecombe <gpe@grahamedgecombe.com>
-# Maintainer: Denis 'GNUtoo' Carikli <GNUtoo@cyberdimension.org>
+
# parabola changes and rationale:
-# - Fixed "error while loading shared libraries: libboost_python37.so.1.69.0"
-# by switching from boost-libs to boost1.69-libs
-# TODO: see if this needs to be sent to the nextpnr-git AUR maintainer
+# no changes.
pkgname=nextpnr-git
-pkgver=r2284.08cf545
+pkgver=r2884.15b2852b
pkgrel=1
pkgdesc='Portable FPGA place and route tool'
arch=('i686' 'x86_64')
url='https://github.com/YosysHQ/nextpnr'
license=('custom:ISC')
-depends=('boost1.69-libs' 'python' 'qt5-base')
-makedepends=('boost' 'cmake' 'eigen' 'git' 'icestorm' 'trellis')
+depends=('boost-libs' 'python' 'qt5-base')
+makedepends=('boost' 'cmake' 'eigen' 'git' 'icestorm' 'prjtrellis'
+ 'prjtrellis-db')
provides=('nextpnr')
conflicts=('nextpnr')
source=('nextpnr::git+https://github.com/YosysHQ/nextpnr.git')
@@ -32,11 +31,12 @@ build() {
cmake \
-DARCH=generic\;ice40\;ecp5 \
-DICEBOX_ROOT=/usr/share/icebox \
- -DTRELLIS_ROOT=/usr/share/trellis \
+ -DTRELLIS_INSTALL_PREFIX=/usr \
-DBUILD_TESTS=ON \
-DCMAKE_BUILD_TYPE=RelWithDebInfo \
-DCMAKE_INSTALL_PREFIX=/usr \
-DUSE_OPENMP=ON \
+ -DBUILD_GUI=ON \
..
make
}